我们从2011年坚守至今,只想做存粹的技术论坛,记录你的技术足迹,有空就回来看看。  由于网站在外面,点击附件后可能要20秒才弹出下载,请耐心等待,勿重复点击

 找回密码
 立即注册
搜索
查看: 874|回复: 1

[技术文章] FPGA之Verilog点灯小程序

[复制链接]

该用户从未签到

53

主题

35

回帖

0

积分

二级逆天

积分
0

社区居民终身成就奖

QQ
发表于 2020-10-27 09:04:21 | 显示全部楼层 |阅读模式
FPGA之Verilog点灯小程序




QuartusII11.0,开发板用的是CycloneIV的EP4CE6E22C8N芯片。

led灯使用的是低电平有效;

要执行的功能是:上电灯就亮,这里使用的是四个led灯;

具体代码如下:

由于功能上是只要上电就亮,所以就不需要时序控制了,那么就是一个组合逻辑电路;

module led_light(

led

);

output[3:0]                 led;

reg[3:0]                       led;

always@(*)begin

led = 4'b0000;

end

endmodule
回复

使用道具 举报

  • TA的每日心情
    无聊
    2024-6-7 09:26
  • 签到天数: 1 天

    [LV.1]初来乍到

    0

    主题

    832

    回帖

    372

    积分

    二级逆天

    积分
    372

    终身成就奖

    QQ
    发表于 2020-10-27 13:29:22 | 显示全部楼层
    回复

    使用道具 举报

    您需要登录后才可以回帖 登录 | 立即注册

    本版积分规则

    Copyright ©2011-2024 NTpcb.com All Right Reserved.  Powered by Discuz! (NTpcb)

    本站信息均由会员发表,不代表NTpcb立场,如侵犯了您的权利请发帖投诉

    平平安安
    TOP
    快速回复 返回顶部 返回列表