langxia 发表于 2020-10-27 09:04:21

FPGA之Verilog点灯小程序

FPGA之Verilog点灯小程序



QuartusII11.0,开发板用的是CycloneIV的EP4CE6E22C8N芯片。
led灯使用的是低电平有效;
要执行的功能是:上电灯就亮,这里使用的是四个led灯;
具体代码如下:
由于功能上是只要上电就亮,所以就不需要时序控制了,那么就是一个组合逻辑电路;
module led_light(
         led
         );
         output               led;
          reg                     led;
          always@(*)begin
            led = 4'b0000;
         end
endmodule

6jason 发表于 2020-10-27 13:29:22

页: [1]
查看完整版本: FPGA之Verilog点灯小程序